Đề tài Ứng dụng plc trong bán nước tự động

LỜI NÓI ĐẦU Nền công nghiệp thế giới đang trên đà phát triển ngày càng cao, trong đó vấn đề tự động điều khiển được đặt lên hàng đầu trong quá trình nghiên cứu cũng như ứng dụng công nghệ mới vào trong sản xuất. Nó đòi hỏi khả năng xử lý, mức độ hoàn hảo, sự chính xác của hệ thống sản xuất ngày một cao hơn,để có thể đáp ứng được nhu cầu về số lượng, chất lượng, thẩm mỹ ngày càng cao của xã hội. Sự xuất hiện máy tính vào những năm đầu thập niên 60, đã hỗ trợ con người làm việc tốt hơn trong nhiều lĩnh vực từ kinh tế, y tế, giáo dục, quốc phòng đến nhiều lĩnh vực khác như hàng không, vũ trụ. Với sự đòi hỏi của con người, những nhà nghiên cứu không dừng lại ở đó, nhiều thiết bị, phần mềm ra đời chuyên phục vụ cho ngành công nghiệp, tính năng ưu biệt luôn được nâng cao. Một trong những thiết bị phải kể đến đó là bộ PLC. Với khả năng ứng dụng và nhiều ưu điểm nổi bậc, PLC ngày càng thâm nhập sâu rộng trong nền sản xuất. Nhận thức được tầm quan trọng đó, nên chúng ta cần nghiên cứu, tìm hiểu về PLC, nhằm góp phần vào công nghiệp hóa, hiện đại hóa đất nước. Xuất phát từ thực tế và nhiều điều kiện khách quan khác, nên “LẬP TRÌNH PLC ĐIỀU KHIỂN MÁY BÁN NƯỚC TỰ ĐỘNG “ được chọn làm đề tài đồ án tốt nghiệp này. Quá trình thực hiện là điều kiện tốt nhất để học hỏi kinh nghiệm xây dựng một mô hình sản xuất và phương pháp lập trình điều khiển bằng PLC. MỤC LỤC Chương I: DẪN NHẬP I. Đặt vấn đề II. Giới hạn đề tài III. Mục đích nghiên cứu Chương II: GIỚI THIỆU PLC SIMATIC S - 200 I. Tổng quát về PLC II. Giới thiệu PLC Simatic S – 200 III. Ngôn ngữ lập trình của S – 200 Chương III: MÔ HÌNH MÁY BÁN NƯỚC TỰ ĐỘNG I. Giới thiệu mô hình II. Yêu cầu công nghệ III. Cấu trúc mô hình Chương IV: LẬP TRÌNH PLC ĐIỀU KHIỂN MÁY BÁN NƯỚC TỰ ĐỘNG I. Lưu đồ II. Quy định các ngõ vào ra III. Chương trình viết dưới dạng LAD IV. Chương trình viết dưới dạng STL Tài liệu tham khảo Phụ lục 

doc58 trang | Chia sẻ: lvcdongnoi | Lượt xem: 2318 | Lượt tải: 3download
Bạn đang xem trước 20 trang tài liệu Đề tài Ứng dụng plc trong bán nước tự động, để xem tài liệu hoàn chỉnh bạn click vào nút DOWNLOAD ở trên
OUT. WAND DW EN IN1 IN2 OUT Leänh thöïc hieän pheùp tính logic AND giöõa caùc bít cuûa hai töø keùp IN1 vaø IN2. Keát quaû ñöôïc ghi vaøo töø OUT. IN1: VD, ID, QD, MD, SMW (Dword) AC, AIW, Haèng soá, VD, AC IN2: VD, ID, QD, MD, SMW (Dword) AC, AIW, Haèng soá, *VD, *AC OUT: VD, ID, QD, MD, SMD, (Dword) AC, *VD, *AC WOR DW EN IN1 IN2 OUT Leänh thöïc hieän pheùp tính logic OR giöõa caùc bít cuûa hai töø keùp IN1 vaø IN2. Keát quaû ñöôïc ghi vaøo töø OUT. WXOR DW EN IN1 IN2 OUT Leänh thöïc hieän pheùp tính logic XOR giöõa caùc bít cuûa hai töø keùp IN1 vaø IN2. Keát quaû ñöôïc ghi vaøo töø OUT. Caùc leänh tieáp ñieåm ñaëc bieät: Coù theå duøng caùc leänh tieáp ñieåm ñaëc bieät ñeå phaùt hieän söï chuyeån tieáp traïng thaùi cuûa xung (söôøn xung) vaø ñaûo laïi traïng thaùi cuûa doøng cung caáp (giaù trò cuûa ñænh ngaên xeáp). LAD söû duïng caùc tieáp ñieåm ñaëc bieät ñeå taùc ñoäng vaøo doøng cung caáp. Caùc tieáp ñieåm ñaëc bieät khoâng coù toaùn haïng rieâng cuûa chính chuùng vaø vì theá phaûi ñaët chuùng vaøo vò trí phía tröôùc cuûa cuoän daây hoaëc hoäp ñaàu ra. Tieáp ñieåm chuyeån tieáp döông/aâm (caùc leänh söôøn tröôùc vaø söôøn sau) coù nhu caàu veà boä nhôù, neân ñoái vôùi CPU 214 laø 256 leänh. Caùc leänh tieáp ñieåm ñaëc bieät ñöôïc bieåu dieãn nhö sau trong LAD LAD Moâ taû Toaùn haïng NOT Tieáp ñieåm ñaûo traïng thaùi cuûa doøng cung caáp. Neáu doøng cung caáp coù tieáp ñieåm ñaûo thì noù bò ngaét maïch, neáu khoâng coù tieáp ñieåm ñaûo thì noù thoâng maïch. Khoâng coù Tieáp ñieåm chuyeån ñoåi döông cho pheùp doøng cung caáp thoâng maïch trong moät voøng queùt khi söôøn xung ñieàu khieån chuyeån töø 0 leân 1 Khoâng coù P N Tieáp ñieåm chuyeån ñoåi aâm cho pheùp doøng cung caáp thoâng maïch trong moät voøng queùt khi söôøn xung ñieàu khieån chuyeån töø 1 xuoáng 0. Khoâng coù Caùc leänh tieáp ñieåm ñaëc bieät ñöôïc bieåu dieãn nhö sau trong STL STL Moâ taû Toaùn haïng NOT Leänh ñaûo giaù trò cuûa bít ñaàu tieân trong ngaên xeáp. Khoâng coù EU Leänh nhaän bieát söï chuyeån tieáp traïng thaùi töø 0 leân 1 trong moät voøng queùt cuûa ñænh ngaên xeáp. Khi nhaän ñöôïc söï chuyeån tieáp nhö vaäy ñænh ngaên xeáp seõ coù giaù trò baèng 1 trong moät voøng queùt. Khoâng coù ED Leänh nhaän bieát söï chuyeån tieáp traïng thaùi töø 1 xuoáng 0 trong moät voøng queùt cuûa ñænh ngaên xeáp. Khi nhaän ñöôïc söï chuyeån tieáp nhö vaäy ñænh ngaên xeáp seõ coù giaù trò baèng 1 trong moät voøng queùt. Khoâng coù NOT (NOT) EDGE UP (EU) EDGE DOWN (ED) Leänh NOT, EU vaø ED thöïc hieän caùc thuaät toaùn ñaëc bieät treân bít ñaàu tieân cuûa ngaên xeáp. Leänh NOT ñaûo giaù trò cuûa bít ñaàu tieân trong ngaên xeáp. Leänh EU khi phaùt hieän thaáy söôøn leân töø 0 ñeán 1 trong bít ñaàu tieân cuûa ngaên xeáp thì ñaët giaù trò 1 vaøo bít ñaàu tieân cuûa ngaên xeáp trong khoaûng thôøi gian baèng moät voøng queùt. Taùc ñoäng cuûa leänh vaøo ngaên xeáp nhö sau: Tröôùc NOT Sau Tröôùc EU Sau Tröôùc ED Sau c0 ~c0 1 c1 C0 1 c1 c1 c1 c1 C1 c1 c2 c2 c2 c2 C2 c2 c3 c3 c3 c3 C3 c3 c4 c4 c4 c4 C4 c4 c5 c5 c5 c5 C5 c5 c6 c6 c6 c6 C6 c6 c7 c7 c7 c7 C7 c7 c8 c8 c8 c8 C8 c8 Caùc leänh so saùnh Khi laäp trình, neáu coù caùc quyeát ñònh veà ñieàu khieån ñöôïc thöïc hieän döïa treân keát quaû cuûa vieäc so saùnh thì coù theå söû duïng leänh so saùnh cho byte, töø hay töø keùp cuûa S7-200. LAD söû duïng leänh so saùnh ñeå so saùnh caùc giaù trò cuûa byte, töø vaø töø keùp (giaù trò thöïc hoaëc nguyeân). Nhöõng leänh so saùnh thöôøng laø so saùnh nhoû hôn hoaëc baèng ( =). Khi so saùnh giaù trò cuûa byte thì khoâng caàn phaûi ñeå yù ñeán daáu cuûa toaùn haïng. Ngöôïc laïi khi so saùnh caùc töø hoaëc töø keùp vôùi nhau thì phaûi ñeå yù ñeán daáu cuûa toaùn haïng, ngöôïc laïi khi so saùnh caùc töø hoaëc töø keùp vôùi nhau thì phaûi ñeå yù ñeán daáu cuûa toaùn haïng laø bít cao nhaát trong töø hoaëc töø keùp. Bieåu dieãn caùc leänh so saùnh trong LAD: n1 n2 = = B LAD Moâ taû Toaùn haïng = = B n1 n2 = = R n1 n2 = = D n1 n2 = = I Tieáp ñieåm ñoùng khi n1 = n2 B = Byte I = Integer D = Double Integer R = Real n1,n2 (byte) : VB , IB , QB, MB, SMB , AC , Const , *VD*, AC = = B n1 n2 > = B n1 n2 > = I = = B n1 n2 > = R = = B n1 n2 > = D Tieáp ñieåm ñoùng khi N1 > n2 B = Byte I = Integer D = Double Integer R = Real n1,n2 (töø): VW, T, C, IW, QW, MW, SMW,AC, AIW, Haèng soá, *VD, *AC = = B n1 n2 < = B n1 n2 < = I = = B n1 n2 < = R = = B n1 n2 < = D Tieáp ñieåm ñoùng khi N1 < n2 B = Byte I = Integer D = Double Integer R = Real n1, n2(töø keùp):VD, ID, QD, MD, SMD, AC, HC, Haèng soá, *VD, *AC Trong STL, nhöõng leänh so saùnh thöïc hieän pheùp so saùnh byte, töø vaø töø keùp. Caên cöù vaøo kieåu so saùnh (=), keát quaû cuûa pheùp so saùnh coù giaù trò baèng 0 (neáu ñuùng) hoaëc 1 (neáu sai) neân coù theà söû duïng keát hôïp cuøng vôùi caùc leänh gogic LA, A, O. Ñeå taïo ra ñöôïc caùc pheùp so saùnh maø S7-200 khoâng coù leänh so saùnh töông öùng nhö: so saùnh khoâng baèng nhau (), so saùnh nhoû hôn (>), coù theå taïo ra ñöôïc nhôø duøng keát hôïp leänh NOT vôùi caùc leänh ñaõ coù (=, >=, ) giöõa noäi dung cuûa töø V>W100 vaø haèng soá 50 baèng caùch söû duïng keát hôïp pheùp so saùnh baèng nhau LDW = vaø leänh ñaûo NOT. LDB =, LDW = LDD =, LDR = * Leänh kieåm tra tính baèng nhau cuûa noäi dung 2 byte, töø, töø keùp, hoaëc soá thöïc. Trong tröôøng hôïp pheùp so saùnh cho keát quaû ñuùng, bít ñaàu tieân trong ngaên xeáp seõ coù giaù trò logic baèng 1. LDB < = , LDW < = LDD < = , LDR < = * Leänh so saùnh noäi dung cuûa byte, töø, töø keùp hoaëc soá thöïc thöù nhaát coù nhoû hôn hoaëc baèng noäi dung cuûa byte, töø, töø keùp hoaëc soá thöïc thöù hai hay khoâng. Trong tröôøng hôïp pheùp so saùnh cho keát quaû ñuùng, bít ñaàu tieân trong ngaên xeáp coù giaù trò logic baèng 1. LDB > =, LDW > = LDD > =, LDR > = * Leänh so saùnh noäi dung cuûa byte, töø, töø keùp hoaëc soá thöïc thöù nhaát coù lôùn hôn hoaëc baèng noäi dung cuûa byte, töø, töø keùp hoaëc soá thöïc thöù hai hay khoâng. Trong tröôøng hôïp pheùp so saùnh cho keát quaû ñuùng, bít ñaàu tieân trong ngaên xeáp coù giaù trò logic baèng 1. AB =, AW = AD =, AR = * Leänh kieåm tra tính baèng nhau cuûa noäi dung 2 byte, töø, töø keùp, hoaëc soá thöïc. Trong tröôøng hôïp pheùp so saùnh cho keát quaû ñuùng, seõ thöïc hieän pheùp tính logic And giöõa bít ñaàu tieân trong ngaên xeáp vôùi giaù trò logic. AB < =, AW < = AD < =, AR < = Leänh so saùnh noäi dung cuûa byte, töø, töø keùp hoaëc soá thöïc thöù nhaát coù nhoû hôn hoaëc baèng noäi dung cuûa byte, töø, töø keùp hoaëc soá thöïc thöù hai hay khoâng. Trong tröôøng hôïp pheùp so saùnh cho keát quaû ñuùng, seõ thöïc hieän pheùp tính logic AND giöõa bít ñaàu tieân trong ngaên xeáp vôùi giaù trò logic 1 Bieåu dieån leänh so saùnh trong STL: STL Moâ taû Toaùn haïng LDB = n1 n2 AB = n1 n2 OB = n1 n2 Leänh thöïc hieän pheùp tính logic Load , And hoaëc Or giöõa giaù trò logic 1 vôùi noäi dung ñænh ngaên xeáp khi noäi dung 2 byte n1 vaø n2 thoûa maõn n1 = n2 n1 , n2 (byte):VB, IB, QB, MB, SMB, AC, haèng soá, *VD , *AC LDB > = n1 n2 AB > = n1 n2 OB > = n1 n2 Leänh thöïc hieän pheùp tính logic Load , And hoaëc Or giöõa giaù trò logic 1 vôùi noäi dung ñænh ngaên xeáp khi noäi dung 2 byte n1 vaø n2 thoûa maõn n1 > = n2 LDB < = n1 n2 AB < = n1 n2 OB < = n1 n2 Leänh thöïc hieän pheùp tính logic Load , And hoaëc Or giöõa giaù trò logic 1 vôùi noäi dung ñænh ngaên xeáp khi noäi dung 2 byte n1 vaø n2 thoûa maõn n1 < = n2 LDW = n1 n2 AW = n1 n2 OW = n1 n2 Leänh thöïc hieän pheùp tính logic Load , And hoaëc Or giöõa giaù trò logic 1 vôùi noäi dung ñænh ngaên xeáp khi noäi dung 2 töø n1 vaø n2 thoûa maõn n1 = n2 n1 ,n2 (töø):VW, T, C, QW, MW, SMW, AC, AIW , haèng soá, *VD , *AC LDW > = n1 n2 AW > = n1 n2 OW > = n1 n2 Leänh thöïc hieän pheùp tính logic Load , And hoaëc Or giöõa giaù trò logic 1 vôùi noäi dung ñænh ngaên xeáp khi noäi dung 2 töø n1 vaø n2 thoûa maõn n1 > = n2 LDW < = n1 n2 AW < = n1 n2 OW < = n1 n2 Leänh thöïc hieän pheùp tính logic Load , And hoaëc Or giöõa giaù trò logic 1 vôùi noäi dung ñænh ngaên xeáp khi noäi dung 2 töø n1 vaø n2 thoûa maõn n1 < = n2 LDD = n1 n2 AD = n1 n2 OD = n1 n2 Leänh thöïc hieän pheùp tính logic Load , And hoaëc Or giöõa giaù trò logic 1 vôùi noäi dung ñænh ngaên xeáp khi noäi dung 2 töø keùp n1 vaø n2 thoûa maõn n1 = n2 n1 , n2 (töø keùp) :VD, ID, QD, MD, SMD, AC, HC , haèng soá, *VD , *AC LDD > = n1 n2 AD > = n1 n2 OD > = n1 n2 Leänh thöïc hieän pheùp tính logic Load , And hoaëc Or giöõa giaù trò logic 1 vôùi noäi dung ñænh ngaên xeáp khi noäi dung 2 töø keùp n1 vaø n2 thoûa maõn n1 > = n2 LDD < = n1 n2 AD < = n1 n2 OD < = n1 n2 Leänh thöïc hieän pheùp tính logic Load , And hoaëc Or giöõa giaù trò logic 1 vôùi noäi dung ñænh ngaên xeáp khi noäi dung 2 töø keùp n1 vaø n2 thoûa maõn n1 < = n2 LDR = n1 n2 AR = n1 n2 OR = n1 n2 Leänh thöïc hieän pheùp tính logic Load , And hoaëc Or giöõa giaù trò logic 1 vôùi noäi dung ñænh ngaên xeáp neáu hai soá thöïc n1 vaø n2 (4 byte) thoûa maõn n1 = n2 n1 ,n2 (töø keùp):VD, ID, QD, MD, SMD, AC, HC , haèng soá, *VD , *AC LDR > = n1 n2 AR > = n1 n2 OR > = n1 n2 Leänh thöïc hieän pheùp tính logic Load , And hoaëc Or giöõa giaù trò logic 1 vôùi noäi dung ñænh ngaên xeáp neáu hai soá thöïc n1 vaø n2 (4 byte ) thoûa maõn n1 > = n2 LDR < = n1 n2 AR < = n1 n2 OR < = n1 n2 Leänh thöïc hieän pheùp tính logic Load , And hoaëc Or giöõa giaù trò logic 1 vôùi noäi dung ñænh ngaên xeáp neáu hai soá thöïc n1 vaø n2 (4 byte) thoûa maõn n1 < = n2 Leänh nhaûy chöông trình con: Caùc leänh cuûa chöông trình, neáu khoâng coù nhöõng leänh ñieàu khieån rieâng, seõ ñöôïc thöïc hieän theo thöù töï töø treân xuoáng döôùi trong moät voøng queùt. Leänh ñieàu khieån chöông trình cho pheùp thay ñoåi thöù töï thöïc hieän leänh. Chuùng cho pheùp chuyeån thöù töï thöïc hieän, ñaùng leõ ra laø leänh tieáp theo, tôùi moät leänh baát cöù naøo khaùc cuûa chöông trình, trong ñoù nôi ñieàu khieån chuyeån ñeán phaûi ñöôïc ñaùnh daáu tröôùc baèng moät nhaõn, chæ, ñích. Thuoäc nhoùm leänh ñieàu khieån chöông trình goàm: leänh nhaûy, leänh goïi chöông trình con, nhaõn chæ ñích, hay goïi ñôn giaûn laø nhaõn, phaûi ñöôïc ñaùnh daáu tröôùc khi thöïc hieän leänh nhaûy hay leänh goïi chöông trình con. Vieäc ñaët nhaõn cho leänh nhaûy phaûi naèm trong chöông trình. Nhaõn cuûa chöông trình con, hoaëc cuûa chöông trình xöû lyù ngaét ñöôïc khai baùo ôû ñaàu chöông trình. Khoâng theå duøng leänh nhaûy JMP ñeå chuyeån ñieàu khieån töø chöông trình chính vaøo moät nhaõn baát kyø trong chöông trình con hoaëc trong chöông trình xöû lyù ngaét. Töông töï nhö vaäy cuõng khoâng theå töø moät chöông trình con hay chöông trình xöû lyù ngaét nhaûy vaøo baát cöù moät nhaõn naøo naèm ngoaøi caùc chöông trình ñoù. Leänh goïi chöông trình con laø leänh chuyeån ñieàu khieån ñeán chöông trình con. Khi chöông trình con thöïc hieän xong caùc pheùp tính cuûa mình thì vieäc ñieàu khieån laïi ñöôïc chuyeån trôû veà leänh tieáp theo trong chöông trình chính naèm ngay sau leänh goïi chöông trình con. Töø moät chöông trình con coù theå goïi ñöôïc moät chöông trình con khaùc trong noù, coù theå goïi nhö vaäy nhieàu nhaát laø 8 laàn trong S7-200. Noùi chung (trong moät chöông trình con coù leänh goïi ñeán chính noù) veà nguyeân taéc khoâng bò caám song phaûi ñeå yù ñeán giôùi haïn treân. Neáu leänh nhaûy hay leänh goïi chöông trình con ñöôïc thöïc hieän thì ñænh ngaên xeáp luoân coù giaù trò logic 1. Bôûi vaäy trong chöông trình con caùc leänh coù ñieàu kieän ñöôïc thöïc hieän nhö caùc leänh khoâng ñieàu kieän. Sau caùc leänh LBL (ñaët nhaõn) vaø SBR, leänh LD trong STL seõ bò voâ hieäu hoùa. Khi moät chöông trình con ñöôïc goïi, toaøn boä noäi dung cuûa ngaên xeáp seõ ñöôïc caát ñi, ñænh cuûa ngaên xeáp nhaän giaù trò logic môùi laø 1, caùc bít khaùc cuûa ngaên xeáp nhaän giaù trò logic 0 vaø ñieàu khieån ñöôïc chuyeån ñeán chöông trình con ñaõ ñöôïc goïi. Khi thöïc hieän xong chöông trình con vaø tröôùc khi ñieàu khieån ñöôïc chuyeån trôû laïi chöông trình ñaõ goïi noù, noäi dung ngaên xeáp ñaõ ñöôïc caát giöõ tröôùc ñoù seõ ñöôïc chuyeån trôû laïi ngaên xeáp. Noäi dung cuûa thanh ghi AC khoâng ñöôïc caát giöõ khi goïi chöông trình con, nhöng khi moät chöông trình xöû lyù ngaét ñöôïc goïi, noäi dung cuûa thanh ghi AC seõ ñöôïc caát giöõ tröôùc khi thöïc hieän chöông trình xöû lyù ngaét vaø naïp laïi khi chöông trình xöû lyù ngaét ñaõ ñöôïc thöïc hieän xong. Bôûi vaäy chöông trình xöû lyù ngaét coù theå töï do söû duïng boán thanh ghi AC cuûa S7-200. JMP.CALL LBL.SBR Leänh nhaûy JMP vaø leänh goïi chöông trình con SBR cho pheùp chuyeån ñieàu khieån töø vò trí naøy ñeán vò trí khaùc trong chöông trình. Cuù phaùp cuûa leänh nhaûy vaø leänh goïi chöông trình con trong LAD vaø STL ñeàu coù toaùn haïng laø nhaõn chæ ñích (nôi nhaûy ñeán, nôi chöùa chöông trình con). Leänh nhaûy, leänh goïi chöông trình con, leänh khai baùo nhaõn vaø leänh thoaùt khoûi chöông trình con ñöôïc bieåu dieãn trong LAD vaø trong STL nhö sau: LAD STL Moâ taû Toaùn haïng n ( JMP ) JMP Kn Leänh nhaûy thöïc hieän vieäc chuyeån ñieàu khieån ñeán nhaõn n trong moät chöông trình. n: 0 ¸ 255 LBL:n LBL Kn Leänh khai baùo nhaõn n trong moät chöông trình. n ( CALL ) CALL Kn Leänh goïi chöông trình con, thöïc hieän pheùp chuyeån ñieàu khieån ñeán chöông trình con coù nhaõn laø n. n: 0 ¸ 255 SBR: n SBR Kn Leänh gaùn nhaõn n cho moät chöông trình con. n ( CRET ) CRET Leänh trôû veà chöông trình ñaõ goïi chöông trình con coù ñieàu kieän (bít ñaàu tieân cuûa ngaên xeáp coù giaù trò logic baèng 1). Khoâng coù n ( RET ) RET Leänh trôû veà chöông trình ñaõ goïi chöông trình con khoâng ñieàu kieän. Caùc leänh can thieäp vaøo thôøi gian voøng queùt: Leänh MEND, END, STOP, NOP, WDR. Caùc leänh naøy ñöôïc duøng ñeå keát thuùc chöông trình ñang thöïc hieän, vaø keùo daøi trong khoaûng thôøi cuûa moät voøng queùt. Trong LAD vaø STL chöông trình chính phaûi ñöôïc keát baèng leänh keát thuùc khoâng ñieàu kieän MEND. Coù theå söû duïng leänh keát thuùc coù ñieàu kieän END tröôùc leänh keát thuùc khoâng ñieàu kieän. Leänh STOP keát thuùc chöông trình, noù chuyeån ñieàu khieån chöông trình ñeán cheá ñoä STOP. Neáu gaëp leänh STOP trong chöông trình chính hoaëc trong chöông trình con thì chöông trình ñang thöïc hieän seõ ñöôïc keát thuùc ngay laäp töùc. Leänh soãng NOT khoâng coù taùc duïng gì trong vieäc thöïc hieän chöông trình. Leänh NOT naøy phaûi ñöôïc ñaët trong chöông trình chính, hoaëc chöông trình ngaét, hoaëc chöông trình con. Leänh WDR seõ khôûi ñoäng laïi ñoàng hoà quan saùt (watchdog Timer) vaø chöông trình tieáp tuïc ñöôïc thöïc hieän trong voøng queùt ôû cheá ñoä quan saùt. Söû duïng leänh MEND, END, STOP vaø WDR trong LAD vaø STL nhö sau: LAD STL Moâ taû ( END ) END Leänh keát thuùc chöông trình chính hieän haønh coù ñieàu kieän. ( MEND ) MEND Leänh keát thuùc khoâng ñieàu kieän duøng ñeå keát thuùc moät chöông trình hieän haønh. ( STOP ) STOP Leänh STOP keát thuùc chöông trình hieän haønh vaø chuyeån sang cheá ñoä STOP. ( WDR ) WDR Leänh WDR khôûi taïo laïi ñoàng hoà quan saùt. ( NOT ) NOT Leänh NOT khoâng coù hieäu löïc trong chöông trình hieän haønh. Toaùn haïng n laø moät soá naèm trong khoaûng 0¸25 Caùc leänh ñieàu khieån Timer Timer laø boä taïo thôøi gian treã giöõa tín hieäu vaøo vaø tín hieäu ra neân trong ñieàu khieån vaãn thöôøng goïi laø khaâu treã. Neáu kyù hieäu tín hieäu (logic) vaøo laø x (t) vaø thôøi gian treã ñöôïc taïo ra baèng Timer laø r thì tín hieäu ñaàu ra cuûa Timer ñoù seõ laø x (t-r). S7-200 coù 128 Timer (CPU-214) ñöôïc chia laøm 2 loaïi khaùc nhau, ñoù laø: * Timer taïo thôøi gian treã khoâng coù nhôù (Timer on delay), kyù hieäu laø TON. * Timer taïo thôøi gian treã coù nhôù (Timer on delay retentive), kyù hieäu laø TONR. Hai kieåu Timer cuûa S7-200 (TON vaø TONR) phaân bieät vôùi nhau ôû phaûn öùng cuûa noù ñoái vôùi traïng thaùi tín hieäu ñaàu vaøo, töùc laø khi tín hieäu ñaàu vaøo chuyeån traïng thaùi logic töø 0 leân 1, ñöôïc goïi laø thôøi ñieåm Timer ñöôïc kích, vaø khoâng tính khoaûng thôøi gian khi ñaàu vaøo coù giaù trò logic 0 vaøo thôøi gian treã tín hieäu ñöôïc ñaët tröôùc. Khi ñaàu vaøo coù giaù trò logic baèng 0, TON töï ñoäng reset coøn TONR thì khoâng töï reset. Timer TON ñöôïc duøng ñeå taïo thôøi gian treã trong moät khoaûng thôøi gian (mieàn lieân thoâng), coøn vôùi TONR thôøi gian treã seõ ñöôïc taïo trong nhieàu khoaûng thôøi gian khaùc nhau. Timer TON vaø TONR bao goàm 3 loaïi vôùi 3 ñoä phaân giaûi khaùc nhau, ñoä phaân giaûi 1ms, 10 ms, 100 ms. Thôøi gian treã r ñöôïc taïo ra chính laø tích cuûa ñoä phaân giaûi cuûa boä Timer ñöôïc choïn vaø giaù trò ñaët tröôùc cho Timer. Ví duï moät boä Timer coù ñoä phaân giaûi baèng 10 ms vaø giaù trò ñaët tröôùc 10 ms thì thôøi gian treã seõ laø r = 500 ms Timer cuûa S7-200 coù nhöõng tính chaát cô baûn sau: Caùc boä Timer ñöôïc ñieàu khieån bôûi moät coång vaøo vaø giaù trò ñeám töùc thôøi. Giaù trò ñeám töùc thôøi cuûa Timer ñöôïc nhôù trong thanh ghi 2 byte (goïi laø T-word) cuûa Timer, xaùc ñònh khoaûng thôøi gian treã keå töø khi Timer ñöôïc kích. Giaù trò ñaët tröôùc cuûa caùc boä Timer ñöôïc kyù hieäu trong LAD vaø STL laø PT. Giaù trò ñeám töùc thôøi cuûa thanh ghi T-word thöôøng xuyeân ñöôïc so saùnh vôùi giaù trò ñaët tröôùc cuûa Timer. Moãi boä Timer, ngoaøi thanh ghi 02 byte T-word löu giaù trò ñeám töùc thôøi, coøn coù 1 bít, kyù hieäu baèng T-bít, chæ traïnh thaùi logic ñaàu ra. Giaù trò logic cuûa bít naøy phuï thuoäc vaøo keát quaû so saùnh giöõa giaù trò ñeám töùc thôøi vôùi giaù trò ñaët tröôùc. Trong khoaûng thôøi gian tín hieäu x (t) coù giaù trò logic 1, giaù trò ñeám töùc thôøi trong T-word luoân ñöôïc caäp nhaät vaø thay ñoåi taêng daàn cho ñeán khi noù ñaït giaù trò cöïc ñaïi. Khi giaù trò ñeám töùc thôøi lôùn hôn hoaëc baèng giaù trò ñaët tröôùc, T-bít coù giaù trò logic 1. Caùc loaïi Timer cuûa S7-200 (ñoái vôùi CPU 214) chia theo TON, TONR vaø ñoä phaân giaûi bao goàm: Leänh Ñoä phaân giaûi Giaù trò cöïc ñaïi CPU 214 TON 1 ms 32,767s T32¸T96 10 ms 327,67s T33¸T36; T97¸ T100 100 ms 3276,7s T37¸T63; T101¸ T127 TONR 1 ms 32,767s T0 ¸T64 10 ms 327,67s T1¸T4; T65¸T68 100 ms 3276,7s T5¸T31; T69¸T95 Cuù phaùp khai baùo söû duïng Timer trong LAD nhö sau: LAD Moâ taû Toaùn haïng Khai baùo Timer soá hieäu xx kieåu TON ñeå taïo thôøi gian treã tính töø khi ñaàu vaøo IN ñöôïc kích. Neáu nhö giaù trò ñeám töùc thôøi lôùn hôn hoaëc baèng giaù trò ñaët tröôùc PT thì T-bít coù giaù trò logic baèng 1. Coù theå reset Timer kieåu TON baèng leänh R hoaëc baèng giaù trò logic 0 taïi ñaàu vaøo IN TON Txx IN PT 1 ms T32 ¸T96 10 ms T33¸T36; T97¸ T100 100 ms T37¸T63; T101¸ T127 Txx : T32¸T63 T96¸T127 PT: VW, T, C, IW, QW, MW, SMW, AC, AIW, VD *AC, Haèng soá. Khai baùo Timer soá hieäu xx kieåu TONR ñeå taïo thôøi gian treã tính töø khi ñaàu vaøo IN ñöôïc kích. Neáu nhö giaù trò ñeám töùc thôøi lôùn hôn hoaëc baèng giaù trò ñaët tröôùc PT thì T-bít coù giaù trò logic baèng 1. Chæ coù theå reset kieåu TONR baèng leänh R cho T-bít 1 ms T0 ¸T64 10 ms T1 ¸ T4 ; T65 ¸ T68 100 ms T5 ¸ T31; T69 ¸ T95 Txx : T0 ¸T31 T64 ¸T95 PT: VW, T, C, IW, QW, AIW, SMW, AC, AIW, VD *AC, Haèng soá. TONR _Txx IN PT Cuù phaùp khai baùo söû duïng Timer trong STL nhö sau: TON, TONR khai baùo söû duïng Timer cuûa S7-200, leänh khai baùo söû duïng Timer laø leänh coù ñieàu kieän. Taïi thôøi ñieåm khai baùo tín hieäu ñaàu vaøo coù giaù trò logic baèng giaù trò logic cuûa bít ñaàu tieân trong ngaên xeáp. STL Moâ taû Toaùn haïng TON Txx n Khai baùo Timer soá hieäu xx kieåu TON ñeå taïo thôøi gian treã tính töø khi bít ñaàu tieân trong ngaên xeáp coù giaù trò logic 1. Neáu nhö giaù trò ñeám töùc thôøi lôùn hôn hoaëc baèng giaù trò ñaët tröôùc n thì T-bít coù giaù trò logic baèng 1. Coù theå reset Timer kieåu TON baèng leänh R hoaëc baèng giaù trò logic 0 taïi ñaàu vaøo. 1 ms T96 10 ms T97 ¸T100 100 ms T101 ¸T127 Txx: T32 ¸T63 T96 ¸T127 n (word) : VW, T, C, IW,QW, MW, SMW AC, AIW, VD *AC, Haèng soá TONR Txx n Khai baùo Timer soá hieäu xx kieåu TONR ñeå taïo thôøi gian treã tính töø khi bít ñaàu tieân trong ngaên xeáp coù giaù trò logic 1. Neáu nhö giaù trò ñeám töùc thôøi lôùn hôn hoaëc baèng giaù trò ñaët tröôùc n thì T-bít coù giaù trò logic baèng 1. Chæ coù theå reset Timer kieåu TONR baèng leänh R cho T-bít 1 ms T64 10 ms T65 ¸T68 100 ms T69 ¸T95 Txx :T0 ¸T31 T64 ¸T95 n (word) :VW, T, C, IW,QW, AIW, SMW, AC, AIW, VD *AC, Haèng soá Chuù yù: Khi söû duïng Timer kieåu TONR, giaù trò ñeám töùc thôøi ñöôïc löu laïi vaø khoâng bò thay ñoåi trong khoaûng thôøi gian khi tín hieäu ñaàu vaøo coù logic 0. Giaù trò cuûa T-bít khoâng ñöôïc nhôù maø hoaøn toaøn phuï thuoäc vaøo keát quaû so saùnh giöõa giaù trò ñeám töùc thôøi vaø giaù trò ñaët tröôùc. Caùc Timer ñöôïc ñaùnh soá töø 0 ñeán 127 (ñoái vôùi CPU 214). Moät Timer ñöôïc ñaët teân laø Txx, trong ñoù xx laø soá hieäu cuûa Timer. Txx ñoàng thôøi cuõng laø ñòa chæ hình thöùc cuûa T-word vaø T-bít vaãn ñöôïc phaân bieät vôùi nhau nhôø kieåu leänh söû duïng vôùi Txx. Khi duøng leänh laøm vieäc vôùi töø, Txx ñöôïc hieåu laø ñòa chæ cuûa T-word, ngöôïc laïi khi söû duïng leänh laøm vieäc vôùi tieáp ñieåm, Txx ñöôïc hieåu laø ñòa chæ cuûa T-bít. Moät Timer ñang laøm vieäc coù theå ñöôïc ñöa laïi veà traïng thaùi khôûi ñoäng ban ñaàu. Coâng vieäc ñöa moät Timer veà traïng thaùi ban ñaàu ñöôïc goïi laø reset Timer ñoù. Khi reset moät boä Timer, T-word vaø T-bít cuûa noù ñoàng thôøi ñöôïc xoùa vaø coù giaù trò baèng 0, nhö vaäy giaù trò ñeám töùc thôøi ñöôïc ñaët veà 0 vaø tín hieäu ñaàu ra cuõng coù traïng thaùi logic baèng 0. Coù theå reset baát cöù boä Timer cuûa S7-200 baèng leänh R (reset). Ñieàu ñoù noùi raèng khi duøng leänh R cho T-bít cuûa moät Timer, Timer ñoù seõ ñöôïc ñöa veà traïng thaùi ban ñaàu vaø leänh R cho moät Txx vöøa xoùa T-word vöøa xoùa T-bít cuûa Timer ñoù. Coù hai phöông phaùp ñeå reset moät Timer kieåu TON: Xoùa tín hieäu ñaàu vaøo. Duøng leänh R (reset). Duøng leänh R laø phöông phaùp duy nhaát ñeå reset caùc boä Timer kieåu TONR. Ñaët giaù trò 0 cho giaù trò ñeám töùc thôøi cuûa moät Timer cuõng khoâng theå xoùa T-bít cuûa Timer ñoù. Cuõng nhö vaäy, khi ñaët moät giaù trò logic 0 cho T-bít cuûa moät Timer khoâng theå xoùa giaù trò ñeám töùc thôøi cuûa Timer ñoù. Cuù phaùp reset moät timer Txx baèng leänh R laø R Txx K1 Chuù yù raèng leänh R thuoäc nhoùm leänh coù ñieàu kieän. Hình 7 a: Timer cuûa S7-200 X(t) T-Bit Giaù trò ñaët tröôùc T-word Giaù trò ñeám töùc thôøi Caùc leänh ñieàu khieån Counter Counter laø boä ñeám hieän chöùc naêng ñeám söôøn xung trong S7-2000. Caùc boä ñeám cuûa S7-2000 ñöôïc chia ra laøm 2 loaïi: boä ñeám tieán (CTU) vaø boä ñeám tieán/luøi (CTUD). Boä ñeám tieán CTU ñeám soá söôøn leân cuûa tín hieäu logic ñaàu vaøo, töùc laø ñeám soá laàn thay ñoåi traïng thaùi logic töø 0 leân 1 cuûa tín hieäu. Soá söôøn xung ñeám ñöôïc, ñöôïc ghi vaøo thanh ghi 2 byte cuûa boä ñeám, goïi laø thanh ghi C-word. Noäi dung cuûa C-word, goïi laø giaù trò ñeám töùc thôøi cuûa boä ñeám, luoân ñöôïc so saùnh vôùi giaù trò ñaët tröôùc cuûa boä ñeám ñöôïc kyù hieäu laø PV. Khi giaù trò ñeám töùc thôøi baèng hoaëc lôùn hôn giaù trò ñaët tröôùc naøy thì boä ñeám baùo ra ngoaøi baèng caùch ñaët giaù trò logic 1 vaøo moät bít ñaëc bieät cuûa noù, ñöôïc goïi laø C-bít. Tröôøng hôïp giaù trò ñeám töùc thôøi nhoû hôn giaù trò ñaët tröôùc thì C-bít coù giaù trò logic laø 0. Khaùc vôùi caùc boä Counter, caùc boä ñeám CTU ñeàu coù chaân noái vôùi tín hieäu ñieàu khieån xoùa ñeå thöïc hieän vieäc ñaët laïi cheá ñoä khôûi phaùt ban ñaàu (reset) cho boä ñeám, ñöôïc kyù hieäu baèng chöõ caùi R trong LAD hay ñöôïc qui ñònh laø traïng thaùi logic cuûa bít ñaàu tieân cuûa ngaên xeáp trong STL. Boä ñeám ñöôïc reset khi tín hieäu xoùa naøy coù möùc logic laø 1 hoaëc khi leänh R (reset) ñöôïc thöïc hieän vôùi C-bít. Khi boä ñeám ñöôïc reset, caû C-word vaø C-bít ñeàu nhaän giaù trò 0. Hình 8 a: Boä ñeám CTU cuûa S7-200 CU C-Bit PV R C-word Giaù trò ñeám töùc thôøi Boä ñeám tieán / luøi CTUD ñeám tieán khi gaëp söôøn leân cuûa xung vaøo coång ñeám, kyù hieäu laø CU trong LAD hoaëc bít thöù 3 cuûa ngaên xeáp trong STL, vaø ñeám luøi khi gaëp söôøn cuûa xung vaøo coång ñeám luøi, ñöôïc kyù hieäu laø CD trong LAD hoaëc bít thöù 2 cuûa ngaên xeáp trong STL. Gioáng nhö boä ñeám CTU, boä ñeám CTUD cuõng ñöôïc ñöa veà traïng thaùi khôûi phaùt ban ñaàu baèng 2 caùch. Khi ñaàu vaøo logic cuûa chaân xoùa, kyù hieäu baèng R trong LAD hoaëc bít thöù nhaát cuûa ngaên xeáp trong STL, coù giaù trò logic laø 1 hoaëc, Baèng leänh R (reset) vôùi C-bít cuûa boä ñeám. CTUD coù giaù trò ñeám töùc thôøi ñuùng baèng giaù trò ñang ñeám vaø ñöôïc löu trong thanh ghi 2 byte C-word cuûa boä ñeám. Giaù trò ñeám töùc thôøi luoân ñöôïc so saùnh vôùi giaù trò ñaët tröôùc PV cuûa boä ñeám. Neáu giaù trò ñeám töùc thôøi lôùn hôn baèng baèng giaù trò ñaët tröôùc thì C-bít coù giaù trò logic baèng 1. Coøn caùc tröôøng hôïp khaùc C-bít coù giaù trò logic baèng 0. Hình 8 b: Boä ñeám CTUD cuûa S7-200 CU C-Bit PV CD R C-word Giaù trò ñeám töùc thôøi Boä ñeám tieán CTU coù mieàn giaù trò ñeám töùc thôøi töø 0 ñeán 32.767. Boä ñeám tieán/luøi CTUD coù mieàn giaù trò ñeám töùc thôøi laø 32.767 Caùc boä ñeám ñöôïc ñaùnh soá töø 0 ñeán 127 (ñôùi vôùi CPU 214) vaø kyù hieäu baèng Cxx, trong ñoù xx laø soá thöù töï cuûa boä ñeám. Kyù hieäu Cxx ñoàng thôøi cuõng laø ñòa chæ hình thöùc cuûa C-word vaø cuûa C-bít. Maëc duø duøng ñòa chæ hình thöùc, song C-word vaø C-bít vaãn ñöôïc phaân bieät vôùi nhau nhôø kieåu leänh söû duïng laøm vieäc vôùi töø hay vôùi tieáp ñieåm (bít). Leänh khai baùo söû duïng boä ñeám trong LAD nhö sau: LAD Moâ taû Toaùn haïng CTU Cxx CU PV R Khai baùo boä ñeám tieán theo söôøn leân cuûa CU. Khi giaù trò ñeám töùc thôøi C-word Cxx lôùn hôn hoaëc baèng giaù trò ñaët tröôùc PV, C-bít (cxx) coù giaù trò logic baèng 1. Boä ñeám ñöôïc reset khi ñaàu vaøo R coù giaù trò logic baèng 1. Boä ñeám ngöøng ñeám khi C-word Cxx ñaït giaù trò cöïc ñaïi 32.767. Cxx:C0 ¸C47 C80 ¸C127 PV (word) : VW , T, C, IW, QW, MW, SMW, AC, AIW, Haèng soá, *VD, *AC CTUD Cxx CU PV R Khi baùo boä ñeám tieán/luøi, ñeám tieán theo söôøn leân cuûa CU vaø ñeám luøi theo söôøn leân cuûa CD. Khi giaù trò ñeám töùc thôøi C-word Cxx lôùn hôn hoaëc baèng giaù trò ñaët tröôùc PV, C-bít (cxx) coù giaù trò logic baèng 1. Boä ñeám ngöøng ñeám tieán khi C-word ñaït giaù trò cöïc ñaïi 32.767 vaø ngöøg ñeám luøi khi C-word ñaït giaù trò cöïc tieåu 32.767 CTUD reset khi ñaàu vaøo R coù giaù trò logic baèng 1. Cxx : C48 ¸C79 PV (word):VW,T , C , IW, QW, MW, SMW, AC,A IW, Haèng soá, *VD, *AC Leänh khai baùo söû duïng boä ñeám trong STL nhö sau: STL Moâ taû Toaùn haïng CTU Cxx n Khai baùo boä ñeám tieán theo söôøn leân cuøa CU. Khi giaù trò ñeám töùc thôøi C-word lôùn hôn hoaëc baèng giaù trò ñaët tröôùc n, C-bít coù giaù trò logic baèng 1. Boä ñeám ñöôïc reset khi ñaàu ngaên xeáp coù giaù trò logic baèng 1. Boä ñeám ngöøng ñeám khi C-word ñaït giaù trò cöïc ñaïi 32.767. Cxx : C0 ¸C47 C80 ¸C127 n (word):VW , T , C , IW , QW , MW, SMW, AC, AIW, Haèng soá. *VD, *AC CTUD Cxx n Khai baùo boä ñeám tieán/luøi, ñeám tieán theo söôøn leân cuûa CU vaø ñeám luøi theo söôøn leân cuûa CD. Khi giaù trò ñeám töùc thôøi C-word, Cxx lôùn hôn hoaëc baèng giaù trò ñaët tröôùc n, C-bít coù giaù trò logic baèng 1, boä ñeám ngöøng ñeám tieán khi C-word ñaït giaù trò cöïc ñaïi 32.767 vaø ngöøng ñeám luøi khi C-word ñaït ñöôïc giaù trò cöïc tieåu 32.767 CTUD reset khi bít ñaàu cuûa ngaên xeáp coù giaù trò logic baèng 1. Cxx: C48 ¸ C79 n (word) : VW, T, C, IW ,QW, MW, SMW, AC, AIW, Haèng soá, *VD, *AC Caùc leänh soá hoïc a. Leänh coäng (ADD) Leänh ADD_I Laø leänh thöïc hieän pheùp coäng caùc soá nguyeân 16-bít IN1 vaø IN2. Trong LAD keát quaû laø moät soá nguyeân 16-bít ñöôïc ghi vaøo OUT, töùc laø: IN1 + IN2 = OUT. Coøn trong STL, keát quaû cuõng laø moät giaù trò 16-bít nhöng ñöôïc ghi vaøo IN2, töùc laø IN1 + IN2 = IN2. Leänh ADD_DI: Laø leänh thöïc hieän pheùp coäng caùc soá nguyeân 32-bít IN1 vaø IN2 Trong LAD, keát quaû laø moät soá nguyeân 32-bít ñöôïc ghi vaøo OUT, töùc laø: IN1 + IN2 = OUT. Coøn trong STL, keát quaû cuõng laø moät giaù trò 32-bít nhöng ñöôïc ghi vaøo IN2, töùc laø IN1 + IN2 = IN2. Leänh ADD_R: Laø leänh thöïc hieän pheùp coäng caùc soá thöïc 32-bít IN1 vaø IN2. Trong LAD, keát quaû laø moät soá thöïc 32-bít ñöôïc ghi vaøo OUT, töùc laø: IN1 + IN2 = OUT. Coøn trong STL, keát quaû cuõng laø moät giaù trò thöïc 32-bít nhöng ñöôïc ghi vaøo IN2, töùc laø IN1 + IN2 = IN2. b. Leänh tröø (SUB): Leänh SUB_I: Laø leänh thöïc hieän pheùp tröø caùc soá nguyeân 16-bít IN1 vaø IN2 Trong LAD keát quaû laø moät soá nguyeân 16-bít vaø ñöôïc ghi vaøo OUT, töùc laø: IN1 - IN2 = OUT. Coøn trong STL, keát quaû laø moät giaù trò 16-bít nhöng ñöôïc ghi laïi vaøo IN2, töùc laø IN1- IN2 = IN2. Leänh SUB-DI: Laø leänh thöïc hieän pheùp tröø caùc soá nguyeân 32-bít IN1 vaø IN2 Trong LAD keát quaû laø moät soá nguyeân 32-bít ñöôïc ghi vaøo IN2, töùc laø: IN1 - IN2 = IN2. Coøn trong STL, keát quaû laø moät giaù trò 32-bít nhöng ñöôïc ghi laïi vaøo IN2, töùc laø IN1- IN2 = IN2. Leänh SUB_R: Laø leänh thöïc hieän pheùp tröø caùc soá thöïc 32-bít IN1 vaø IN2 Trong LAD keát quaû laø moät soá thöïc 32-bít ñöôïc ghi vaøo OUT, töùc laø: IN1 - IN2 = OUT. Trong STL, keát quaû laø moät giaù trò 32-bít nhöng ñöôïc ghi laïi vaøo IN2, töùc laø IN1- IN2 = IN2. Cuù phaùp duøng leänh coäng vaø tröø trong LAD vaø STL nhö sau: LAD STL ADD I EN IN1 IN2 OUT + I IN1 IN2 SUB I EN IN1 IN2 OUT - I IN1 IN2 ADD DI EN IN1 IN2 OUT + D IN1 IN2 SUB DI EN IN1 IN2 OUT - D IN1 IN2 ADD R EN IN1 IN2 OUT + R IN1 IN2 SUB R EN IN1 IN2 OUT - R IN1 IN2 c. Leänh nhaân (MUL): Leänh MUL: Trong LAD: Leänh thöïc hieän pheùp nhaân 2 soá nguyeân 16-bít IN1 vaø IN2 vaø cho ra keát quaû 32-bít chöùa trong töø keùp OUT (4 byte). Trong STL: Leänh thöïc hieän pheùp nhaân giöõa 2 soá nguyeân 16-bít n1 vaø soá nguyeân chöùa trong töø thaáp (töø 0 ñeán bít 15) cuûa toaùn haïng 32-bít n2 (4 byte). Keát quaû 32-bít ñöôïc ghi vaøo n2. Leänh MUL_R: Trong LAD: leänh thöïc hieän pheùp nhaân hai soá thöïc 32-bít IN1 vaø IN2 vaø cho ra keát quaû 32-bít chöùa trong töø keùp OUT (4 byte). Trong STL: Leänh thöïc hieän pheùp nhaân giöõa soá thöïc 32-bít ñöôïc ghi vaøo IN2. Cuù phaùp duøng leänh trong LAD vaø STL nhö sau: LAD STL MUL EN IN1 IN2 OUT MUL n1 n2 MUL R EN IN1 IN2 OUT *R IN 1 IN2 d. Leänh chia (DIV) Trong LAD: Leänh thöïc hieän pheùp chia soá nguyeân 16-bít IN1 cho soá nguyeân 16-bít IN2. Keát quaû 32-bít chöùa trong töø keùp OUT goàm thöông soá ghi trong maûng 16-bít töø bít 0 ñeán bít15 (töø thaáp) vaø phaàn dö cuõng 16-bít ghi trong maûng töø bít-16 ñeán bít-31 (töø cao). Trong STL: Leänh thöïc hieän pheùp chia soá nguyeân 16-bít n1 cho soá nguyeân, soá nguyeân 16-bít naèm trong töø thaáp töø bít 0 ñeán bít 15 cuûa toaùn haïng 32-bít n2. Keát quaû 32-bít ñöôïc ghi laïi vaøo n2 bao goàm thöông soá ghi trong maûng 16-bít töø bít 0 ñeán bít 15 (töø thaáp) vaø phaàn dö ghi trong maûng 16-bít töø bít-16 ñeán bít-31 (töø cao). Leänh DIV_R: Trong LAD: leänh thöïc hieän pheùp chia soá thöïc 32-bít IN1 cho soá thöïc 32-bít IN2 vaø cho ra keát quaû 32-bít chöùa trong töø keùp OUT. Trong STL, leänh thöïc hieän pheùp chia soá thöïc 32-bít IN1 cho soá thöïc 32-bít IN2, keát quaû 32-bít ñöôïc ghi laïi vaøo IN2. Cuù phaùp duøng leänh chia hai soá trong LAD vaø STL nhö sau: LAD STL DIV EN IN1 IN2 OUT DIV n1 n2 DIV R EN IN1 IN2 OUT /R n1 n2 e. Leänh laáy caên baäc 2 (SQRT): Laø moät leänh thöïc hieän laáy caên baäc hai cuûa soá thöïc 32-bít IN. Keát quaû cuõng laø moät soá 32-bít ñöôïc ghi vaøo töø keùp OUT. Cuù phaùp duøng leänh laáy caên baäc hai cuûa moät soá thöïc nhö sau: LAD STL SQRT EN IN OUT SQRT IN OUT Caùc leänh coäng tröø moät ñôn vò a. Leänh INC_B: Laø leänh coäng soá nguyeân 1 vaøo noäi dung cuûa byte ñaàu vaøo. Trong LAD: Keát quaû ñöôïc ghi vaøo OUT, töùc laø: IN1 + 1 = OUT. Trong STL: Keát quaû ñöôïc ghi vaøo IN. Cuù phaùp duøng leänh INCW trong LAD vaø trong STL nhö sau: LAD STL INC B EN IN OUT INCW IN b. Leänh INC_W Leänh coäng soá nguyeân 1 vaøo noäi dung töø ñôn In. Trong LAD: Keát quaû ñöôïc ghi vaøo OUT. Trong STL: Keát quaû ñöôïc ghi laïi vaøo IN. Cuù phaùp duøng leänh INCW trong LAD vaø trong STL nhö sau: LAD STL INC W EN IN OUT INCW IN c. Leänh INC_DW (DOUBLE WORD) Laø leänh coäng soá nguyeân 1 vaøo noäi dung töø keùp IN Trong LAD: Keát quaû ñöôïc ghi vaøo OUT, töùc laø: IN + 1 = OUT Trong STL: Keát quaû ñöôïc ghi vaøo IN, töùc laø: IN + 1 = IN Cuù phaùp duøng leänh INCD trong LAD vaø trong STL nhö sau: LAD STL INC DW EN IN OUT INCD IN d. Leänh DEC_B Laø leänh bôùt noäi dung cuûa byte ñaàu vaøo ñi 1 ñôn vò. Trong LAD: Keát quaû ñöôïc ghi vaøo OUT, töùc laø: IN - 1 = OUT Trong STL: Keát quaû ñöôïc ghi vaøo IN, töùc laø: IN - 1 = IN Cuù phaùp duøng leänh DECW trong STL vaø DEC_W trong LAD nhö sau: LAD STL DEC B EN IN OUT DECB IN e. Leänh DEC_W Laø leänh bôùt noäi dung IN ñi 1 ñôn vò. Trong LAD: Keát quaû ñöôïc ghi vaøo OUT, töùc laø: IN - 1 = OUT Trong STL: Keát quaû ñöôïc ghi vaøo IN, töùc laø: IN - 1 = IN Cuù phaùp duøng leänh DECW trong STL vaø DEC_W trong LAD nhö sau: LAD STL INC W EN IN OUT DECW IN f. Leänh DEC_DW Laø leänh giaûm noäi dung töø keùp IN ñi 1 ñôn vò. Trong LAD: Keát quaû ñöôïc ghi vaøo OUT, töùc laø: IN - 1 = OUT Trong STL: Keát quaû ñöôïc ghi vaøo IN, töùc laø: IN - 1 = I Cuù phaùp duøng leänh DECDW trong STL hay DEC_DW trong LAD nhö sau: LAD STL INC DW EN IN OUT DECD IN Caùc leänh dòch chuyeån noäi dung oâ nhôù Caùc leänh dòch chuyeån noäi dung oâ nhôù thöïc hieän vieäc di chuyeån hoaëc sao cheùp soá lieäu töø vuøng naøy sang vuøng khaùc trong boä nhôù. Trong LAD hay trong STL leänh dòch chuyeån thöïc hieän vieäc di chuyeån hay sao cheùp noäi dung cuûa moät byte, moät töø ñôn, moät töø keùp hoaëc moät giaù trò thöïc töø vuøng naøy sang vuøng khaùc trong boä nhôù. a. Leänh MOV_B Laø leänh sao cheùp noäi dung cuûa byte IN sang byte OUT. Cuù phaùp duøng leänh MOV_B trong LAD hay MOVB trong STL nhö sau: LAD STL MOV B EN IN OUT MOVB IN OUT b. Leänh MOV_W Laø leänh sao cheùp noäi dung cuûa töø ñôn IN sang töø ñôn OUT. Cuù phaùp duøng leänh MOVW trong STL hay MOV_W trong LAD nhö sau: LAD STL MOV W EN IN OUT MOVW IN OUT c. Leänh MOV_DW Laø leänh sao cheùp noäi dung cuûa töø keùp IN sang töø keùp OUT. Cuù phaùp duøng leänh MOVD trong STL hay MOV_DW trong LAD nhö sau: LAD STL MOV DW EN IN OUT MOVD IN OUT d. Leänh MOV_R Laø leänh sao cheùp moät soá thöïc töø IN (4 byte) sang OUT (4 byte). Cuù phaùp duøng leänh MOV_R trong LAD hay MOVR trong STL: LAD STL MOV R EN IN OUT MOVR IN OUT e. Leänh SWAP Laø leänh trao ñoåi noäi dung cuûa Byte thaáp vaø Byte cao trong noäi dung töø ñôn IN Cuù phaùp duøng leänh SWAP trong LAD hay trong STL nhö sau: LAD STL SWAP EN IN OUT SWAP IN Caùc leänh dòch chuyeån thanh ghi Caùc leänh dòch chuyeån thanh ghi ñöôïc chia laøm hai nhoùm: Nhoùm caùc leänh laøm vieäc vôùi thanh ghi coù ñoä daøi baèng moät töø ñôn (16-bít) hay moät töø keùp (32-bít). Nhoùm caùc leänh laøm vieäc vôùi thanh ghi coù ñoä daøi tuøy yù maø ñöôïc ñònh nghóa trong leänh. Nhoùm leänh vôùi thanh ghi coù ñoä daøi 16 hoaëc 32 bít. Leänh dòch chuyeån thuoäc nhoùm naøy cho pheùp dòch chuyeån vaø quay caùc bít trong caùc töø ñôn vaø trong caùc töø keùp. Soá laàn dòch chuyeån caùc bít cuûa töø ñôn hay töø keùp ñöôïc chæ thò baèng moät toaùn haïng trong ñöôïc goïi laø soá laàn ñeám ñaåy. Soá laàn quay caùc bít cuûa töø ñôn hay töø keùp cuõng ñöôïc chæ thò baèng moät toaùn haïng trong leänh, ñöôïc goïi laø soá laàn ñeám quay. Khi söû duïng caùc leänh dòch chuyeån caùc bít cuûa töø ñôn hay töø keùp caàn chuù yù: Seõ khoâng thöïc hieän vieäc dòch chuyeån neáu nhö soá ñeám laàn ñaåy baèng 0. Neáu soá laàn ñaåy coù giaù trò lôùn hôn 0, bít nhôù traøn SM1.1 coù giaù trò logic cuûa bít cuoái cuøng ñöôïc ñaåy ra. Neáu soá ñeám laàn ñaåy lôùn hôn hoaëc baèng 16 (töø ñôn), lôùn hôn hoaëc baèng 32 (töø keùp) khi dòch chuyeån thì leänh seõ chæ thöïc hieän vôùi soá ñeám laàn ñaåy lôùn nhaát laø 16 hoaëc 32. Leänh SRW (ñaåy caùc bít töø ñôn sang phaûi) vaø SDR (ñaåy caùc bít töø keùp sang phaûi) seõ chuyeån giaù trò 0 vaøo bít cao nhaát cuûa töø hoaëc töø keùp taïi moãi laàn ñaåy. Sau khi thöïc hieän leänh, bít SM1.1 seõ coù giaù trò uûa bít thöù N-1 cuûa töø ñôn hoaëc töø keùp vôùi N laø soá laàn ñaåy. Leänh SLW (ñaåy caùc bít töø ñôn sang traùi) vaø SRD (ñaåy caùc bít töø keùp sang traùi) seõ chuyeån giaù trò logic 0 vaøo bít thaáp nhaát cuûa töø hoaëc töø keùp taïi moãi laàn ñaåy. Sau khi thöïc hieän leänh, bít SM1.1 seõ coù giaù trò cuûa bít thöù 16-N ñoái vôùi töø ñôn hoaëc 32-N ñoái vôùi töø keùp, trong ñoù N laø soá laàn ñaåy. Bít baùo keát quaû 0 (bít SM1.0) seõ coù giaù trò logic baèng 1 neáu nhö sau khi thöïc hieän leänh ñaåy noäi dung cuûa töø ñôn hay töø keùp baèng 0. Khi söû duïng leänh quay caùc bít cuûa töø ñôn hay töø keùp caàn chuù yù: Leänh quay thöïc hieän pheùp ñaåy voøng troøn sang traùi hay phaûi caùc bít cuûa moät töø ñôn hoaëc cuûa moät töø keùp. Taïi moãi laàn quay, giaù trò logic cuûa bít bò ñaåy ra khoûi ñaàu naøy cuõng laø giaù trò logic ñöôïc ñöa vaøo ñaàu kia cuûa töø hay cuûa töø keùp. Leänh quay seõ khoâng thöïc hieän neáu nhö soá ñeám laàn quay coù giaù trò laø 0 hay baèng boäi soá cuûa 16 (vôùi töø ñôn) hoaëc 32 (vôùi töø keùp). Ñoái vôùi caùc giaù trò khaùc cuûa soá ñeám laàn quay lôùn hôn 16 (ñoái vôùi töø ñôn) hoaëc 32 (ñoái vôùi töø keùp), leänh seõ thöïc hieän vôùi soá ñeám laàn quay môùi baèng phaàn dö cuûa soá ñeám laàn quay cuõ chia cho 16 hoaëc chia cho 32. Khi thöïc hieän leänh quay sang phaûi RRW (vôùi töø ñôn) hay RRD (vôùi töø keùp), taïi moãi laàn quay giaù trò thaáp nhaát trong töø hoaëc töø keùp ñöôïc ghi vaøo bít baùo traøn SM1.1. Sau khi leänh ñöôïc thöïc hieän xong bít SM1.1 seõ coù giaù trò logic bít 16-N cuûa töø ñôn hoaëc 32-N cuûa töø keùp, trong ñoù N laø soá ñeám laàn quay. Khi thöïc hieän leänh quay sang traùi RLW (vôùi töø ñôn) hay RLD (vôùi töø keùp) taïi moãi laàn quay, giaù trò logic cuûa bít cao nhaát trong töø hoaëc töø keùp ñöôïc ghi vaøo bít baùo traøn SM1.1. Sau khi leänh ñöôïc thöïc hieän xon bít SM1.1 seõ coù giaù trò logic bít thöù N-1 trong töø ñôn hoaëc töø keùp, trong ñoù N laø soá ñeám laàn quay (môùi). Bít baùo keát quaû 0 (bít SM1.0) seõ coù giaù trò logic 1 neáu töø hay töø keùp ñöôïc quay coù giaù trò baèng 0. a. Leänh SHR_R: Laø leänh dòch chuyeån caùc bít cuûa töø ñôn IN sang phaûi N vò trí, trong ñoù N ñöôïc goïi laø soá ñeám laàn dòch chuyeån. Taïi moãi laàn dòch chuyeån, giaù trò logic 0 ñöôïc ñöa vaøo bít cao (bít thöù 15) vaø giaù trò logic cuûa bít thaáp (bít 0) ñöôïc chuyeån vaøo bít baùo traøn SM1.1. Trong LAD keát quaû ñöôïc ghi vaøo OUT, coøn trong STL keát quaû vaãn naèm trong IN. Cuù phaùp cuûa leänh nhö sau: LAD STL SHR W EN IN OUT N SRW IN N b. Leänh SHL_W: Laø leänh dòch chuyeån caùc bít cuûa töø ñôn IN sang traùi n vò trí, trong ñoù N ñöôïc goïi laø soá ñeám laàn dòch chuyeån. Taïi moãi laàn dòch chuyeån, giaù trò logic 0 ñöôïc ñöa vaøo bít thaáp (bít 0) vaø giaù trò logic cuûa bít cao (bít thöù 15) ñöôïc chuyeån vaøo bít baùo traøn SM1.1. Trong LAD keát quaû ñöôïc ghi vaøo töø OUT, coøn trong STL keát quaû vaãn naèm trong IN. Cuù phaùp duøng leänh naøy nhö sau: LAD STL SHL W EN IN OUT N SLW IN N c. Leänh SHR_DW: Laø leänh dòch chuyeån caùc bít cuûa töø keùp IN sang phaûi N vò trí vôùi N laø soá ñeám laàn dòch chuyeån. Taïi moãi laàn dòch chuyeån, giaù trò logic 0 ñöôïc ñöa vaøo bít cao (bít thöù 31) vaø giaù trò cuûa bít thaáp (bít 0) ñöôïc chuyeån vaøo bít baùo traøn SM1.1. Trong LAD keát quaû ñöôïc ghi vaøo töø keùp OUT, coøn trong STL keát quaû vaãn naèm trong IN. Cuù phaùp duøng leänh dòch chuyeån naøy nhö sau: LAD STL SHR DW EN IN OUT N SRD IN N d. Leänh SHL_DW: Laø leänh dòch chuyeån caùc bít cuûa töø keùp IN sang traùi N vò trí, trong N ñöôïc goïi laø soá ñeám laàn dòch chuyeån. Taïi moãi laàn dòch chuyeån, giaù trò logic 0 ñöôïc ñöa vaøo bít thaáp (bit 0) vaø giaù trò logic cuûa bít cao (bít 31) ñöôïc chuyeån vaøo bít baùo traøn SM1.1 Trong LAD keát quaû ñöôïc ghi vaøo töø keùp OUT. Trong STL keát quaû vaãn naèm trong IN. Cuù phaùp cuûa leänh naøy nhö sau: LAD STL SHL DW EN IN OUT N SLD IN N e. Leänh ROR_W: Laø leänh quay caùc bít cuûa töø ñôn IN sang phaûi N laàn, vôùi N ñöôïc goïi laø soá ñeám laàn quay. Taïi moãi laàn quay, giaù trò logic cuûa bít thaáp (bít 0) ñöôïc chuyeån vaøo bít baùo traøn SM1.1 vöøa ñöôïc ghi laïi vaøo bít cao (bít 15) cuûa töø IN. Trong LAD keát quaû ñöôïc ghi vaøo töø OUT. Trong STL keát quaû vaãn naèm trong IN. Cuù phaùp cuûa leänh naøy nhö sau: LAD STL ROR W EN IN OUT N RRW IN N f. Leänh ROR_DW Laø leänh quay caùc bít cuûa töø keùp IN sang phaûi N laàn, trong ñoù N ñöôïc goïi laø soá laàn quay. Taïi moãi laàn quay, giaù trò logic cuûa bít thaáp (bít 0) vöøa ñöôïc chuyeån vaøo bít baùo traøn SM1.1 vöøa ñöôïc chuyeån vaøo bít cao (bít 31) cuûa töø keùp IN. Trong LAD keát quaû ñöôïc ghi vaøo töø OUT. Coøn trong STL keát quaû vaãn naèm trong IN. Cuù phaùp duøng leänh naøy nhö sau: LAD STL ROR DW EN IN OUT N RRD IN N g. Leänh ROL-W: Laø leänh quay caùc bít cuûa töø ñôn IN sang traùi N laàn vôùi N laø soá ñeám laàn quay. Taïi moãi laàn quay, giaù trò logic cuûa bít cao (bít 15) vöøa ñöôïc chuyeån vaøo bít baùo traøn SM1.1 vöøa ñöôïc ghi laïi vaøo bít thaáp cuûa töø IN. Trong LAD keát quaû ñöôïc ghi vaøo töø OUT. Trong STL keát quaû vaãn naèm trong IN Cuù phaùp duøng leänh naøy nhö sau: LAD STL ROL W EN IN OUT N RLW IN N h. Leänh ROL-DW Laø leänh quay caùc bít cuûa töø keùp IN sang traùi N laàn, trong ñoù N ñöôïc goïi laø soá ñeám laàn quay. Taïi moãi laàn quay, giaù trò logic cuûa bít cao (bít 31) vöøa ñöôïc chuyeån vaøo bít baùo traøn SM1.1 vöøa ñöôïc ghi laïi vaøo bít thaáp (bít 0) cuûa töø keùp IN. Trong LAD keát quaû ñöôïc ghi vaøo töø OUT. Trong STL keát quaû vaãn naèm trong IN Cuù phaùp duøng leänh naøy nhö sau: LAD STL ROL DW EN IN OUT N RLD IN N Haøm ñoåi döõ lieäu töông öùng thanh ghi 7 neùt Haøm SEG chuyeån ñoåi soá nguyeân heä cô soá Hexa trong khoaûng 0 ÷ F sang thaønh giaù trò bit töông öùng cuûa thanh ghi 7 neùt . Haøm SEG laäp giaù trò caùc bit cuûa thanh ghi 7 neùt töông öùng vôùi noäi dung cuûa 4 bit thaáp cuûa byte ñaáu vaøo IN. Keát quaû ñöôïc ghi caøo byte ñaàu ra OUT Sô ñoà caùc bit cuûa thanh ghi 7 neùt Soá nguyeân Thanh ghi 7 neùt - g f e d c b a a b c d e f g 0 0 0 1 1 1 1 1 1 1 0 0 0 0 0 1 1 0 2 0 1 0 1 1 0 1 1 3 0 1 0 0 1 1 1 1 4 0 1 1 0 0 1 1 0 5 0 1 1 0 1 1 0 1 6 0 1 1 1 1 1 1 7 0 0 0 0 0 1 1 1 8 0 1 1 1 1 1 1 1 9 0 1 1 0 0 1 1 1 A 0 1 1 1 0 1 1 1 B 0 1 1 1 1 1 0 0 C 0 0 1 1 1 0 0 1 D 0 1 0 1 1 1 1 0 E 0 1 1 1 1 0 0 1 F 0 1 1 1 0 0 0 1 LAD STL Toaùn haïng SEG EN IN OUT ENCO IN OUT IN (Byte) :VB, IB, QB, MB, SMB, AC, *VD, *AC, haèng soá OUT(byte): VB, IB, QB, MB, SMB, AC, *VD, *AC 3.15 Ñoàng hoà thôøi gian thöïc Ñoàng hoà tôøi gian thöïc chæ coù ôû CPU 214 . Ñeå coù theå laøm vieäc vôùi ñoàng hoà thôøi gian thöïc CPU 214 cung caáp hai leänh ñoïc vaø ghi giaù trò cho ñoàng hoà . Nhöõng giaù trò ñoïc ñöôïc hoaëc ghi ñöôïc vôùi ñoàng hoà thôøi gian thöïc laø caùc giaù trò veà ngaøy, thaùnh , naêm , vaø caùc giaù trò giôø , phuùc , giaây .Caùc döõ lieäu ñoïc , ghi vôùi ñoàng hoà thôøi gian thöïc trong LAD vaø trong STL coù ñoä daøi moät byte vaø phaûi ñöôïc maõ hoùa theo kieåu soá nhò phaân BCD . Byte 0 Naêm ( 0 ¸99) Byte 1 Thaùng(0 ¸12) Byte 2 Ngaøy (0 ¸÷31) Byte 3 Giôø (0 ¸23) Byte 4 Phuùc (0 ¸ 59) Byte 5 Giaây (0 ¸59) Byte 6 0 Byte 7 0 ngaøy trong tuaàn Caùc döõ lieäu hôïp leä laø: Naêm (yy) Thaùng (mm) Ngaøy (dd) Giôø (hh) Phuùt (mm) Giaây (ss) 0 ¸ 99 1 ¸ 12 1 ¸ 31 0 ¸ 23 0 ¸ 59 0 ¸ 59 Rieâng giaù trò veà ngaøy trong tuaàn laø moät soá töông öùng vôùi noäi dung cuûa nibble(4 bit) thaáp trong byte theo kieåu : Chuû nhaät Thöù hai Thöù maùy bieán aùp Thöù tö Thöù naêm Thöù saùu Thöù baûy 1 2 3 4 5 6 7 READ_RTC (LAD) TODR (STL) Leänh ñoïc noäi dung cuûa ñoàng hoà thôøi gian thöïc vôùi boä ñeäm 8 byte ñöôïc chæ thò trong leänh baèng toaùn haïng T. SET_RTC (LAD) TODW (STL ) Leänh ghi noäi cuûa boä ñeäm 8 byte ñöôïc chæ thò trong leänh baèng toaùn haïng T vaøo ñoàng hoà thôøi gian thöïc . Cuù phaùp söû duïng leänh ñoïc, ghi döõ lieäu vôùi ñoàng hoà thôøi gian thöïc trong LAD , STL: LAD STL Toaùn haïng READ RTC EN T TODR T T(byte) : VB , IB , QB , MB , SMB , *VD , *AC SET RTC EN T TODW T Tuyeät ñoái khoâng söû duïng leänh TODR vaø leänh TODW ñoàng thôøi vöøa trong chöông trình chính, vöøa trong chöông trình xöû lyù ngaét .Khi moät leänh TODR hay TODW ñaõ ñöôïc thöïc hieän , thì khi goïi chöông trình xöû lyù ngaét , caùc leänh laøn vieäc vôùi ñoàng hoà thôøi gian thöïc trong chöông trình xöû lyù ngaét seõ khoâng ñöôïc thuïc hieän nöõa. Bit SM4.5 seõ coù logic 1 trong nhuöõng tröôøng hôïp nhö vaäy. CHÖÔNG III MO HÌNH MAÙY BAÙN NÖÔÙC TÖÏ ÑOÄNG I. Giôùi thieäu moâ hình Do haïn cheá veà thôøi gian vaø troïng taâm ñeà taøi laø vieát chöông trình treân boä ñieàu khieån PLC, neân moâ hình ñöôïc giôùi thieäu trong ñeà taøi naøy chæ laø moâ hình ñieàu khieån. Nhöõng caûm bieán nhö : caûm bieán tieàn , caûm bieán nöôùc trong bình chöùa, caûm bieán ly vaø caûm bieán ñaày ly ñöôïc thay theá baèng nhöõng coâng taéc. Moâ hình coù heä thoáng Led hieån thò nöôùc trong bình chöùa, hieån thò nöôùc chaûy vaøo ly vaø hieån thò doøng chöõ thoâng baùo. Moâ hình nhö hình veõ ôû phuï luïc. II. Yeâu caàu coâng ngheä Hieän nay vôùi coâng ngheä ñieän töû phaùt trieån cao, con ngöôøi ñaõ cheá taïo ñöôïc nhieàu loaïi maùy phuïc vuï nhu caàu sinh hoaït trong cuoäc soáng. Gaàn ñaây treân thò tröôøng ñaõ xuaát hieän maùy baùn nöôùc töï ñoäng. Vôùi söï goùp maët cuûa loaïi maùy naøy con ngöôøi coù theâm moät khoaûng thôøi gian ñeå nghieân cöùu khoa hoïc hay laøm nhöõng coâng taùc xaõ hoäi. Maùy baùn nöôùc coù yeâu caàu coâng ngheä ñöôïc ñaët ra nhö sau: Moïi hoaït ñoäng cuûa maùy baét ñaàu hay döøng thoâng qua nuùt nhaán ‘ON / OFF’. Sau khi nhaán nuùt ‘ON /OFF’ maùy yeâu caàu naïp tieàn vaøo. Nhaán nuùt ‘NAÏP TIEÀN XONG’ ñeå keát thuùc cheá ñoä naïp tieàn hoaëc maùy töï ñoäng keát thuùc cheá ñoä naøy khi soá tieàn naïp vaøo ñeán 9 ñoàng. Ngöôøi mua baây giôø coù theå choïn loaïi nöôùc ; nhaän laïi tieàn thoái hay naïp tieáp tieàn neáu soá tieàn chöa ñeán 9 ñoàng. Khi choïn loaïi nöôùc maø tieàn trong maùy nhoû hôn giaù nöôùc vöøa choïn thì maùy yeâu caàu naïp tieáp cho ñuû tieàn. Luùc naøy ta coù theå choïn loaïi nöôùc khaùc hay tieáp tieàn hoaëc nhaän laïi tieàn thoái. Neáu trong maùy heát ly thì maùy seõ thoâng baùo ly ñaõ heát, haõy ñôïi cung caáp ly hoaëc nhaän laïi tieàn thoái keát thuùc cuoäc mua. Neáu loaïi nöôùc ñaõ choïn heát, maùy baùo heát nöôùc, ta ñôïi cung caáp nöôùc hoaëc choïn loaïi nöôùc khaùc vaø coù theå nhaän laïi tieàn thoái. Khi tieàn, ly, nöôùc ñaõ thoûa maõn ñieàu kieän, maùy baùo loaïi nöôùc vöøa choïn keøm theo giaù, van bình chöùa môû ra nöôùc chaûy vaøo ly. Khi ñaày ly van ñoùng laïi, maùy cho bieát soá tieàn coøn laïi. Laáy ly nöôùc ra vaø cho pheùp cuoäc mua tieáp theo. III. Caáu truùc moâ hình Caáu truùc beân trong moâ hình caùc phaàn sau : Boä nguoàn . Maïch taïo xung clock . Maïch hieån thò nöôùc chaûy vaøo ly . Maïch giaûi maõ truy xuaát ra Led 7 ñoaïn . Boä nguoàn Boä nguoàn goàm coù: Maùy bieán aùp 220/6(/9/12/24)V . Boä chænh löu . Maïch loïc . OÅn aùp Bieán aùp: Tæ leä bieán aùp: n = Vo / VI = W2 / W1 W1:soá voøng daây cuoän sô caáp W2:soá voøng daây cuoän thöù caáp Caàu chænh löu – loïc: Caàu chænh löu toaøn kyø bieán ñieän aùp vaøo AC thaønh ñieän aùp ra ÑOÄNG CÔ Loïc sau caàu chænh löu laøm giaûm tín hieâïu nhieãu, sang baèng ñieän aùp ra. OÅn aùp : Söû duïng IC 7805 oån aùp +5V Khi Vin = Vout +3v IC laøm vieäc toát nhaát. Nhö vaäy neáu caàn ñieän aùp ra 5V thì ñieän aùp vaøo phaûi baèng 8V. Neáu Vin< 8V ñieän aùp ra khoâng ñuùng. Neáu Vin >8V thì ñieän aùp ra vaãn oån ñònh nhöng coâng suaát chòu ñöïnggiaûm IC noùng. Chuù yù: Khi söû duïng IC oån aùp neân gaén nhoâm giaûi nhieät cho IC ñeå naâng cao coâng suaát cung caáp cho taûi. Maïch taïo xung clock Maïch taïo xuung clock hay coøn goïi maïch dao ñoäng ña haøi baát oån (Astable) Vout Ton Toff 0 t Ton = (R1 +R2).C.Ln2 = 0,693.C.(R1 +R2) Toff = R2.C.Ln2 = 0,693.C. R2 Chu kyø laøm vieäc: T = Ton + Toff = 0,693.C.(R1 +2R2) Taàn soá xung clock: f = 1 / T = 1 / 0,693.C.(R1 +2R2) = 4,5 Hz Maïch hieån thò nöôùc chaûy vaøo ly Khi Q1.1 = [0] : maïch hoaït ñoäng, Led saùng laàn löôïc roài taét heát vaø cöù nhö theá maïch hoaït ñoäng lieân tuïc. Khi Q1.1 = [1] : Maïch khoâng coù xung clock vaøo, ngöøng hoaït ñoäng. Khi Q2.7 = [0] : Reset maïch. Maïch giaûi maõ truy xuaát ra Led 7 ñoaïn Khi Q1.0 = [0]: IC74138 thöù nhaát hoaït ñoäng Khi Q1.0 = [1]: IC74138 thöù hai hoaït ñoäng

Các file đính kèm theo tài liệu này:

  • docchuong123.doc
  • docLuudo.doc
  • docNGOVAORA.DOC
  • docNHIEM VU.doc
  • docPHULUC.DOC