Đề tài Xây dựng mạch mã hóa và giải mã thập phân – nhị phân hiển thị trên LED 7 thanh

Tìm hiểu đề tài “Xây dựng mạch mã hóa và giải mã thập phân – nhị phân hiển thị trên LED 7 thanh” giúp chúng em hiểu thêm về cách thức mã hóa và giải mã số thập phân sang nhị phân và hiển thị lên LED 7 thanh. Và tìm hiểu các họ IC mã hóa, giải mã có trên thị trường, biết được công dụng, nguyên lý hoạt động của nó để ứng dụng vào các mạch cụ thể. Kết quả sau khi kiểm tra bằng mô phỏng thấy mạch hoạt động tốt và đảm bảo yêu cầu đặt ra của đề tài.

doc29 trang | Chia sẻ: lylyngoc | Lượt xem: 5850 | Lượt tải: 1download
Bạn đang xem trước 20 trang tài liệu Đề tài Xây dựng mạch mã hóa và giải mã thập phân – nhị phân hiển thị trên LED 7 thanh, để xem tài liệu hoàn chỉnh bạn click vào nút DOWNLOAD ở trên
MỤC LỤC Trang I. LỜI MỞ ĐẦU………………………………………………………………...2 II. MỤC ĐÍCH - YÊU CẦU CỦA ĐỀ TÀI ……………………………………3 III. PHƯƠNG ÁN THIẾT KẾ…………………………………………………..3 IV. SƠ ĐỒ KHỐI ………………………………………………………………3 4.1. Sơ đồ khối tổng quát…………………………………………………4 4.2. Lựa chọn linh kiện - thiết bị thiết kế mạch…………………………..4 V. SƠ ĐỒ NGUYÊN LÝ MẠCH ĐIỆN………………………………………11 VI. MÔ PHỎNG PROTEUS…………………………………………………..16 VII. KẾT LUẬN…………………………………………………………….…26 6.1. Đánh giá – nhận xét về đề tài………………………………………26 6.2. Tính thực tiễn và hướng phát triển của đề tài………………………26 TÀI LIỆU THAM KHẢO……………………………………………………..27 PHẦN NHẬN XÉT CỦA GIÁO VIÊN……………………………………….28 LỜI MỞ ĐẦU —&– Ngày nay, con người cùng với những ứng dụng của khoa học kỹ thuật tiên tiến của thế giới, chúng ta đã và đang ngày một thay đổi, văn minh và hiện đại hơn. Sự phát triển của kỹ thuật điện tử đã tạo ra hàng loạt những thiết bị với các đặc điểm nổi bật như sự chính xác cao, tốc độ nhanh, gọn nhẹ… là những yếu tố rất cần thiết góp phần cho hoạt động của con người đạt hiệu quả ngày càng cao hơn. Điện tử đang trở thành một ngành khoa học đa nhiệm vụ. Điện tử đã đáp ứng được những đòi hỏi không ngừng của các ngành, lĩnh vực khác nhau cho đến nhu cầu thiết yếu của con người trong cuộc sống hàng ngày. Một trong những ứng dụng của rất quan trọng của ngành công nghệ điện tử là việc hiển thị những con số, chữ cái bằng led ma trận và led 7 thanh. Việc dùng led để hiển thị đã được ứng dụng rộng rãi như làm các pano quảng cáo, bảng điện tử thông minh trên các sàn giao dịch chứng khoán hay trong ngân hàng… Xuất phát từ những ứng dụng đó, chúng em đã tìm hiểu về đề tài “Xây dựng mạch mã hóa và giải mã thập phân – nhị phân hiển thị trên LED 7 thanh” Đề tài thuộc về kiến thức môn Kỹ thuật số phần các mạch tổ hợp, mã hóa và giải mã tín hiệu. Đề tài có giá trị thực tiễn và tính ứng dụng cao. MỤC ĐÍCH - YÊU CẦU CỦA ĐỀ TÀI Đề tài nhằm mục đích giúp chúng em hiểu rõ hơn về mạch giải mã. Đặc biệt là biết kết hợp những loại IC khác nhau để thực hiện yêu cầu đề tài đặt ra. Đề tài gồm các yêu cầu: Xây dựng mạch mã hóa thập phân – nhị phân Xây dựng mạch giải mã nhị phân – thập phân, hiển thị các số thập phân trên LED 7 thanh Mô phỏng trên phần mềm PHƯƠNG ÁN THIẾT KẾ Để hiển thị các chữ số thập phân từ 0 đến 9 trong kỹ thuật số người ta sử dụng mạch giải mã từ mã nhị phân (BCD) sang một ma trận 7 khe sáng (LED 7 thanh) tương ứng tổ hợp lại để thành các chữ số tự nhiên. Để thực hiện được nhiệm vụ trên ta sẽ sử dụng các IC số mang các nhiệm vụ thực hiện việc mã hóa và giải mã. Dùng các công tắc (switch) để thay cho việc nhập các chữ số cần mã hóa rồi đưa tín hiệu từ switch tới IC để thực hiện nhiệm vụ và cuối cùng sẽ hiển thị trên LED 7 thanh. SƠ ĐỒ KHỐI Với mạch mã hóa ta sử dụng IC 74147 còn trong mạch giải mã ta có thể dùng 74LS47. Đây là IC giải mã đồng thời thúc trực tiếp LED 7 thanh loại Anode chung luôn vì nó có các đầu ra cực thu để hở và khả năng nhận dòng đủ lớn. KHỐI Mà HÓA KHỐI GIẢI Mà KHỐI HIỂN THỊ KHỐI ĐIỀU KHIỂN KHỐI NGUỒN Hình 1: Sơ đồ khối tổng quát mạch mã hóa – giải mã Chức năng từng khối: Khối nguồn: cung cấp nguồn điện cho mạch hoạt động. Nguồn là điện áp 1 chiều 5V, ta có thể sử dụng IC 7805 ổn áp. Khối điều khiển: Là những công tắc bấm hiển thị lần lượt các chữ số. Khối mã hóa: Sử dụng IC mã hóa 74147. IC này mã hóa 9 đường dữ liệu đầu vào sang 4 đường dữ liệu đầu ra theo mã nhị phân (BCD). Riêng số ‘0’ thì được hiển thị khi tất cả các đầu vào đều ở mức cao. Khối giải mã: Ta dùng IC 74LS47. Đây là IC chuyển từ mã nhị phân sang các số tương ứng được hiển thị trên led 7 thanh. IC có đầu ra tích cực mức thấp. Khối hiển thị: Dùng led 7 thanh Anode chung hiển thị các số từ 0 đến 9. Linh kiện – thiết bị thiết kế mạch IC mã hóa 74HC147 IC này mã hóa 9 đường dữ liệu đầu vào sang 4 đường dữ liệu đầu ra theo BCD Hình 2: Sơ đồ chân và hình dạng thực tế của 74HC147 Trong đó: Chân 16 nối nguồn dương Chân 8 nối mass Chân 1,2,3,4,5,10,11,12,13 là chân dữ liệu vào Chân 15 không nối Chân 6,7,9,14 là chân dữ liệu ra Bảng trạng thái và sơ đồ logic của 74HC147: Chú ý: H: Mức điện áp cao L: Mức điện áp thấp X: Trạng thái không xác định 2. IC giải mã 74LS47: Đây là IC chuyển từ mã nhị phân sang các số tương ứng được hiển thị trên led 7 thanh. IC có đầu ra tích cực mức thấp. IC này dùng để điều khiển việc hiển thị LED 7 thanh theo mã BCD. Bên trong IC là các cổng NAND, các bộ đệm đầu vào và 7 cổng chuyển đổi AND-OR. 7 cổng NAND kết hợp với một bộ lái để tạo ra mã BCD cho việc giải mã 7 cổng chuyển đổi AND-OR. Ngoài ra còn có thêm 3 cổng đệm đầu vào dùng cho việc thử đèn, đầu vào xóa, đầu ra xóa dợn sóng và đầu vào xóa dợn sóng. IC này chỉ dành cho việc giải mã BCD, nếu không phải thì không giải mã và số sẽ hiển thị không đúng. Hình 3: Sơ đồ chân và hình dạng thực tế 74LS47 Datasheet 74LS47 Bảng trạng thái: Hình 4: Sơ đồ logic bên trong 74LS47 Nhìn hình vẽ ta thấy ở đầu ra các tín hiệu được nối với nhau qua cổng NAND do đó đầu ra luôn là mức thấp. 3. LED 7 thanh a b c d g e f Là 7 con LED sắp xếp theo hình. Một chân của LED được nối với nhau (Anode chung hoặc Kathode chung) các chân còn lại được đưa ra ngoài để phân cực cho LED. Đây là loại đèn dùng hiển thị các số từ 0 đến 9, đèn gồm 7 đọan a, b, c, d, e, f, g, bên dưới mỗi đọan là một led (đèn nhỏ) hoặc một nhóm led mắc song song (đèn lớn). Qui ước các đoạn cho bởi: Loại Anode chung Loại Cathode chung LED phát sáng với dòng thuận khoảng 5¸20mA, khi đó điện áp rơi trên LED khoảng 1,5 ¸ 3V và có thể điều khiển LED theo mức logic cao ( Katốt chung) hoặc mức logic thấp (Anốt chung). 4. IC đảo 74LS04 Đây là IC tích hợp 6 cổng đảo NOT. Cổng đảo NOT là phần tử logic có 1 đầu vào, 1 đầu ra thực hiện phép toán phủ định Hình 5: Sơ đồ chân và dạng thực tế 74LS04 Trong đó: Các chân đầu vào là: 1, 3, 5, 9, 11, 13 Các chân đầu ra là:2, 4, 6, 8, 10, 12 Chân 14 nối nguồn dương 5V (VCC), chân 7 nối mass (GND) Đặc tính: 5. Điện trở Điện trở một linh kiện điện tử thụ động có chức năng cản trở dòng điện. Trong thiết bị điện tử, điện trở là một linh kiện quan trọng, chúng được làm từ hợp chất cacbon và kim loại tuỳ theo tỷ lệ pha trộn mà người ta tạo ra được các loại điện trở có trị số khác nhau. Trong mạch này ta sử dụng loại điện trở có giá trị 100K Ký hiệu: Đơn vị của điện trở Đơn vị điện trở là Ω (Ohm) , KΩ , MΩ 1KΩ = 1000 Ω 1MΩ = 1000 K Ω = 1000.000 Ω Cách đọc giá trị điện trở vòng màu (điện trở thường) Bảng quy ước vòng màu: STT Vòng màu Giá trị 1 Đen 0 2 Nâu 1 3 Đỏ 2 4 Cam 3 5 Vàng 4 6 Xanh lá 5 7 Xanh lơ 6 8 Tím 7 9 Xám 8 10 Trắng 9 11 Nhũ vàng -1 12 Nhũ bạc -2 Điện trở thường được ký hiệu bằng 4 vòng mầu, điện trở chính xác thì ký hiệu bằng 5 vòng mầu. Ví dụ : Điện trở 4 vòng màu Điện trở chính xác (5 vòng màu) 6. Công tắc Ta sử dụng 9 công tắc tương ứng với các số từ 1 đến 9. Khi ta bấm 1 trong số 9 công tắc ở khối điều khiển thì ta đã cấp cho khối mã hóa 1 tổ hợp mã nhị phân, tổ hợp mã nhị phân đó được đưa đến khối giải mã. SƠ ĐỒ NGUYÊN LÝ MẠCH ĐIỆN Sơ đồ khối nguồn Nguồn sử dụng cho toàn mạch là dòng 5V ổn định. Vì vậy ta sử dụng IC ổn áp LM7805. Nguyên lý hoạt động: Trên là mạch ổn áp 5V khá đơn giản sử dụng 7805. Mạch có bảo vệ chống dòng ngược, bảo vệ quá tải. Công suất đầu ra khá thấp (5W). Mạch được sử dụng nhiều trong các mạch điều khiển, mạch cấp nguồn cho các mạch tín hiệu... Mạch chỉ xoay quanh chức năng ổn định điện áp của con 7805. 7805 có 3 chân cho ta kết nối với nó: Chân 1 là chân nguồn đầu vào, Chân 2 là chân GND, Chân 3 là chân lấy điện áp ra. Chân 1 - 2 (Chân điện áp đầu vào): Đây là chân cấp nguồn đầu vào cho 7805 hoạt động. Giải điện áp cho phép đầu vào lớn nhất là 40V. Theo datasheet thì giải điện áp đầu ra là 5V ta nên cho điện áp vào là 35V để mạch lúc nào cũng hoạt động ổn định điện áp không bị lên xuống do nguồn đầu vào Chân 3 (Chân điện áp đầu ra): Chân này cho chúng ta lấy điện áp đầu ra ổn định 5V. Đảm bảo đầu ra ổn định luôn nằm trong giải từ (4.75V đến 5.25V). Đảm bảo thông số: Vi - Vo > 3V. Thông số này phải luôn đảm bảo khi cấp nguồn cho 7805. Tức là điện áp cấp vào cho 7805 phải nằm trong 8V đến 40V. Nếu dưới 8V thì mạch ổn áp không còn tác dụng. Thông thường người ta không bao giờ cấp nguồn 8V vào cả mà người ta phải cấp nguồn lớn hơn ít nhất là gấp đôi nguồn đầu ra để tráng trường hợp sụt áp đầu vào sinh ra nguồn đầu ra không ổn định trong thời gian ngắn. Sơ đồ nguyên lý khối mã hóa Nguyên lý hoạt động: Mạch gồm bàn phím 9 phím nhấn từ 1 đến 9. Các phím thường hở để các đường D0 đến D9 ở thấp do có điện trở khoảng nối xuống mass. Trong 1 thời điểm chỉ có 1 phím được nhấn để đường đó lên cao, các đường khác đều ở thấp. Khi 1 phím nào đó được nhấn thì sẽ tạo ra 1 mã nhị phân tương ứng. Mã này tiếp tục được đưa đến bộ giải mã để hiển thị trên LED 7 thanh. Ví dụ khi nhấn phím 2 mã sẽ tạo ra là 0010 và led hiển thị số 2. Như vậy mạch đã sử dụng 1 bộ mã hoá 10 đường sang 4 đường hay còn gọi là mạch chuyển đổi mã thập phân sang nhị phân (BCD). Với 10 ngõ vào, 4 ngõ ra. Đây là 1 bài toán thiết kế mạch logic tổ hợp đơn giản sử dụng các cổng NAND như hình dưới đây : Với mạch mã hoá được cấu tạo bởi các cổng logic như ở hình trên ta có nhận xét rằng trong trường hợp nhiều phím được nhấn cùng 1 lúc thì sẽ không thể biết được mã số sẽ ra là bao nhiêu. Do đó để đảm bảo rằng khi 2 hay nhiều phím hơn được nhấn, mã số ra chỉ tương ứng với ngõ vào có số cao nhất được nhấn, người ta đã sử dụng mạch mã hoá ưu tiên. Rõ ràng trong cấu tạo logic sẽ phải thêm 1 số cổng logic phức tạp hơn, IC 74LS147 là mạch mã hoá ưu tiên 10 đường  sang 4 đường, nó đã được tích hợp sẵn tất cả các cổng logic trong nó. Từ bảng chân lý của IC 74LS147 ta thấy thứ tự ưu tiên giảm từ ngõ vào 9 xuống ngõ vào 0. Chẳng hạn khi ngõ vào 9 đang là 0 thì bất chấp các ngõ khác (X) số BCD ra vẫn là 1001 (qua cổng đảo nữa). Chỉ khi ngõ vào 9 ở mức 1 (mức không tích cực) thì các ngõ vào khác mới có thể được chấp nhận, cụ thể là ngõ vào 8 sẽ ưu tiên trước nếu nó ở mức thấp. Sơ đồ nguyên lý khối giải mã Nguyên lý hoạt động: Để LED hiển thị các giá trị mã hóa ta có bảng trạng thái sau: Biểu diễn bằng bìa Các-nô Sơ đồ mạch như sau: Hình 6: Mạch giải mã nhị phân sang 7 thanh (A chung) Với mạch giải mã ở trên ta dùng 74LS47. Đây là IC giải mã đồng thời thúc trực tiếp led 7 đoạn loại Anode chung luôn vì nó có các ngõ ra cực thu để hở và khả năng nhận dòng đủ lớn. A, B, C, D là các ngõ vào mã BCD RBI là ngõ vào xoá dợn sóng LT là ngõ thử đèn BI/RBO là ngõ vào xoá hay ngõ ra xoá rợn a tới g là các ngõ ra (cực thu để hở). Nguyên lý hoạt động của mạch tuân theo bảng chân lý của 74LS47 Sơ đồ nguyên lý khối hiển thị Khối hiển thị là 1 LED 7 thanh Anode chung (dương chung). Để đèn led hiển thị 1 số nào thì các thanh led tương ứng phải sáng lên, do đó, các thanh led đều phải được phân cực bởi các điện trở khoảng 180 đến 390 ohm với nguồn cấp chuẩn thường là 5V. IC giải mã sẽ có nhiệm vụ nối các chân a, b, c, d, e, f, g của LED xuống GND. Hình 7: Sơ đồ nguyên lý toàn bộ mạch MÔ PHỎNG PROTEUS Protues là phần mềm của hãng Labcenter dùng để vẽ sơ đồ nguyên lý, mô phỏng và thiết kế mạch điện. Có thiể tìm hiểu thông tin và bản dùng thử chương trình tại website của nhà sản xuất : Sau khi tải về máy thành công thì cài đặt chương trình bình thường, chương trình nằm trong Start menu. Để thêm linh kiện vào bản vẽ: Ta nhấn chữ “P” hoặc kích vào nút như hình Sẽ xuất hiện 1 bảng mới cho phép ta tìm và chọn các linh kiện cần sử dụng Ta gõ tên linh kiện cần lấy vào ô “Keywords” hoặc chọn từ Category Trong mạch này, những linh kiện cần lấy là: 74LS47 74HC147 74LS04 Điện trở 0.6 W – 100K Công tắc – Switch (thường hở) LED 7 thanh – 7SEG-COM-ANODE Sau khi lấy các linh kiện trên ta được như hình: Ta tiến hành sắp xếp linh kiện và nối dây theo sơ đồ nguyên lý. Ấn các nút nhấn phím số để kiểm tra kết quả: Phím 1 Phím 2 Phím 3 Phím 4 Phím 5 Phím 6 Phím 7 Phím 8 Phím 9 KẾT LUẬN 6.1. Đánh giá – nhận xét về đề tài Tìm hiểu đề tài “Xây dựng mạch mã hóa và giải mã thập phân – nhị phân hiển thị trên LED 7 thanh” giúp chúng em hiểu thêm về cách thức mã hóa và giải mã số thập phân sang nhị phân và hiển thị lên LED 7 thanh. Và tìm hiểu các họ IC mã hóa, giải mã có trên thị trường, biết được công dụng, nguyên lý hoạt động của nó để ứng dụng vào các mạch cụ thể. Kết quả sau khi kiểm tra bằng mô phỏng thấy mạch hoạt động tốt và đảm bảo yêu cầu đặt ra của đề tài. Khi nhấn các phím thì mạch mã hóa cho ra mã nhị phân đúng theo thiết kế, khối giải mã hoạt động tốt và hiển thị trên LED 7 thanh đúng theo yêu cầu. Mạch có tính ứng dụng cao, có thể dùng trong các ứng dụng hiển thị, quảng cáo, mạch đếm sản phẩm… TÀI LIỆU THAM KHẢO 1. Kỹ thuật xung – số, Nhà xuất bản giáo dục – xuất bản tháng 4 năm 2009 2. Kỹ thuật số, Thư viện trường Đại học công nghiệp Việt – Hung. 3. Tham khảo trên internet. NHẬN XÉT CỦA GIÁO VIÊN ……………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………………

Các file đính kèm theo tài liệu này:

  • docdo_an_thiet_ke_bo_ma_hoa_giai_ma_thap_phan_nhi_phan_hien_thi_led_7thanh_8779.doc
Luận văn liên quan